--- a
+++ b/ szl4p3-prj,szl4p3,CDS/ABB_AC800_PEC/Plc Logic/APP/00 System/01 Global Variables/Interface/TS_RxBSW_SM_OpToAPP/svnobj
@@ -0,0 +1,20 @@
+Gx_l	!0…jüo35ŒãÜ°M£mSystem.StringL{2db5746d-d284-4425-9f7f-2663a34b0ebc}InterfaceL{a9ed5b7e-75c5-4651-af16-d2c27e98cb94}TextDocumentL{f3878285-8e4f-490b-bb1b-9acbb7eb04db}TextLinesL{a5de0b0b-1cb5-4913-ac21-9d70293ec00d}	Id
+longTagText
(*dFeedback from ML SM to close main circuit braker 1‚This file is auto-generated by the CODESYS IDE extension package.*Do not edit manually.*)2TYPE TS_RxBSW_SM_OpToAPP:STRUCTˆ    SM_MC1_bIsEna         :  BOOL;      // SM feebdack MC is enabledt    SM_VLU1_bIsEna        :  BOOL;      // VLU1 is enabledÀ    SM_bClose_CtCh1       :  BOOL;      // Feedback from ML SM for closing charching contactor 1À    SM_bClose_CtL1        :  BOOL;      // Feedback from ML SM for closing charching contactor 1|    SM_bDClink1_isCharged  :  BOOL;      // DClink1 is chargedº    SM_bEna_MCB1          :  BOOL;      // Feedback from ML SM to close main circuit braker 1END_STRUCTEND_TYPE"UniqueIdGenerator21ÐÐÐEÐÐ	
+­ÚÐ-
ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­	ÚÐ-ÐÐ	

+ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	

ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-ÐÐ	
+­ÚÐ-Ð-
\ No newline at end of file