Diff of /project/sparkplug™_mqtt_edge_and_host-stack_b9741afd/types_733deadb/t_datetime_467fc8cf/467fc8cf525a48aeb02421ce2727e6ee.object [e11d90] .. [9255d3]  Maximize  Restore

Switch to side-by-side view

--- a/project/sparkplug™_mqtt_edge_and_host-stack_b9741afd/types_733deadb/t_datetime_467fc8cf/467fc8cf525a48aeb02421ce2727e6ee.object
+++ b/project/sparkplug™_mqtt_edge_and_host-stack_b9741afd/types_733deadb/t_datetime_467fc8cf/467fc8cf525a48aeb02421ce2727e6ee.object
@@ -1 +1 @@
-{"payload":{"meta":{"Graph":{"@Type":"81297157","@Value":{"Guid":"(Guid)467fc8cf-525a-48ae-b024-21ce2727e6ee","ParentGuid":"(Guid)00000000-0000-0000-0000-000000000000","Name":"(string)T_DateTime","Properties":{"@Type":"2c41fa04:IDictionary","@Value":[{"@Key":"(Guid)829a18f2-c514-4f6e-9634-1df173429203","@Value":{"@Type":"829a18f2","@Value":{"ParentObjects":{"@Type":"fa2ee218:IDictionary","@Value":[{"@Key":"(Guid)21af5390-2942-461a-bf89-951aaf6999f1","@Value":"(Guid)733deadb-ae48-45b3-af8d-6d477978535c"}]}}}}]},"TypeGuid":"(Guid)2db5746d-d284-4425-9f7f-2663a34b0ebc","EmbeddedTypeGuids":{"@Type":"[Guid]","@Value":["(Guid)a9ed5b7e-75c5-4651-af16-d2c27e98cb94"]}}},"TypeInfos":{"2c41fa04":"{2c41fa04-1834-41c1-816e-303c7aa2c05b}","81297157":"{81297157-7ec9-45ce-845e-84cab2b88ade}","829a18f2":"{829a18f2-c514-4f6e-9634-1df173429203}","fa2ee218":"{fa2ee218-a39b-4b6d-b249-49dbddbd168a}","Guid":"System.Guid","string":"System.String"}},"object":{"Graph":{"@Type":"2db5746d","@Value":{"Interface":{"@Type":"a9ed5b7e","@Value":{"TextDocument":{"@Type":"f3878285","@Value":{"TextLines":{"@Type":"[a5de0b0b]","@Value":[{"@Type":"a5de0b0b","@Value":{"Id":"(long)20","Tag":null,"Text":"(string){attribute 'hide'}"}},{"@Type":"a5de0b0b","@Value":{"Id":"(long)21","Tag":null,"Text":"(string)TYPE T_DateTime : ULINT; // TimeStamp as ULINT"}},{"@Type":"a5de0b0b","@Value":{"Id":"(long)1","Tag":null,"Text":"(string)END_TYPE"}}]}}}}},"UniqueIdGenerator":"(string)21"}},"TypeInfos":{"2db5746d":"{2db5746d-d284-4425-9f7f-2663a34b0ebc}","a5de0b0b":"{a5de0b0b-1cb5-4913-ac21-9d70293ec00d}","a9ed5b7e":"{a9ed5b7e-75c5-4651-af16-d2c27e98cb94}","f3878285":"{f3878285-8e4f-490b-bb1b-9acbb7eb04db}","long":"System.Int64","string":"System.String"}}},"FormatVersion":"1.0"}
\ No newline at end of file
+{"payload":{"meta":{"Graph":{"@Type":"81297157","@Value":{"Guid":"(Guid)467fc8cf-525a-48ae-b024-21ce2727e6ee","ParentGuid":"(Guid)00000000-0000-0000-0000-000000000000","Name":"(string)T_DateTime","Properties":{"@Type":"2c41fa04:IDictionary","@Value":[{"@Key":"(Guid)829a18f2-c514-4f6e-9634-1df173429203","@Value":{"@Type":"829a18f2","@Value":{"ParentObjects":{"@Type":"fa2ee218:IDictionary","@Value":[{"@Key":"(Guid)21af5390-2942-461a-bf89-951aaf6999f1","@Value":"(Guid)733deadb-ae48-45b3-af8d-6d477978535c"}]}}}}]},"TypeGuid":"(Guid)2db5746d-d284-4425-9f7f-2663a34b0ebc","EmbeddedTypeGuids":{"@Type":"[Guid]","@Value":["(Guid)a9ed5b7e-75c5-4651-af16-d2c27e98cb94"]}}},"TypeInfos":{"2c41fa04":"{2c41fa04-1834-41c1-816e-303c7aa2c05b}","81297157":"{81297157-7ec9-45ce-845e-84cab2b88ade}","829a18f2":"{829a18f2-c514-4f6e-9634-1df173429203}","fa2ee218":"{fa2ee218-a39b-4b6d-b249-49dbddbd168a}","Guid":"System.Guid","string":"System.String"}},"object":{"Graph":{"@Type":"2db5746d","@Value":{"Interface":{"@Type":"a9ed5b7e","@Value":{"TextDocument":{"@Type":"f3878285","@Value":{"TextLines":{"@Type":"[a5de0b0b]","@Value":[{"@Type":"a5de0b0b","@Value":{"Id":"(long)22","Tag":null,"Text":"(string){attribute 'hide'}"}},{"@Type":"a5de0b0b","@Value":{"Id":"(long)23","Tag":null,"Text":"(string)TYPE"}},{"@Type":"a5de0b0b","@Value":{"Id":"(long)24","Tag":null,"Text":"(string)    T_DateTime : ULINT; // TimeStamp as ULINT"}},{"@Type":"a5de0b0b","@Value":{"Id":"(long)1","Tag":null,"Text":"(string)END_TYPE"}}]}}}}},"UniqueIdGenerator":"(string)24"}},"TypeInfos":{"2db5746d":"{2db5746d-d284-4425-9f7f-2663a34b0ebc}","a5de0b0b":"{a5de0b0b-1cb5-4913-ac21-9d70293ec00d}","a9ed5b7e":"{a9ed5b7e-75c5-4651-af16-d2c27e98cb94}","f3878285":"{f3878285-8e4f-490b-bb1b-9acbb7eb04db}","long":"System.Int64","string":"System.String"}}},"FormatVersion":"1.0"}
\ No newline at end of file